This issue tracker has been migrated to GitHub, and is currently read-only.
For more information, see the GitHub FAQs in the Python's Developer Guide.

classification
Title: 2.4a0 build fails in Modules/signalmodule.c
Type: Stage:
Components: Build Versions: Python 2.4
process
Status: closed Resolution: wont fix
Dependencies: Superseder:
Assigned To: nnorwitz Nosy List: dcd, nnorwitz
Priority: normal Keywords:

Created on 2004-01-21 23:16 by dcd, last changed 2022-04-11 14:56 by admin. This issue is now closed.

Messages (5)
msg19779 - (view) Author: David Dyck (dcd) Date: 2004-01-21 23:16
PYTHON_VERSION, 2.4a0 (Jan 21 CVS)

gcc -fno-strict-aliasing -DNDEBUG -g -O3 -Wall
-Wstrict-prototypes -I. -I./Include  -DPy_BUILD_CORE 
-c ./Modules/signalmodule.c -o Modules/signalmodule.o
./Modules/signalmodule.c: In function `signal_handler':
./Modules/signalmodule.c:141: warning: implicit
declaration of function `siginterrupt'
./Modules/signalmodule.c: In function `initsignal':
./Modules/signalmodule.c:550: `_NSIG' undeclared (first
use in this function)
./Modules/signalmodule.c:550: (Each undeclared
identifier is reported only once
./Modules/signalmodule.c:550: for each function it
appears in.)
make: *** [Modules/signalmodule.o] Error 1

(At one time my libc5 linux system had similar
troubles building perl, but perl's Configure
get's it right the hard way)
msg19780 - (view) Author: Neal Norwitz (nnorwitz) * (Python committer) Date: 2004-10-17 20:54
Logged In: YES 
user_id=33168

Is this still a problem w/ 2.4b1?
msg19781 - (view) Author: David Dyck (dcd) Date: 2004-10-18 15:56
Logged In: YES 
user_id=53855

The line numbers have changed but the error remains in
Python-2.4b1

gcc -fno-strict-aliasing -DNDEBUG -g -O3 -Wall
-Wstrict-prototypes -I. -I./Include  -DPy_BUILD_CORE  -c
./Modules/signalmodule.c -o Modules/signalmodule.o
./Modules/signalmodule.c: In function `initsignal':
./Modules/signalmodule.c:544: `_NSIG' undeclared (first use
in this function)
./Modules/signalmodule.c:544: (Each undeclared identifier is
reported only once
./Modules/signalmodule.c:544: for each function it appears
in.)
make: *** [Modules/signalmodule.o] Error 1
msg19782 - (view) Author: Neal Norwitz (nnorwitz) * (Python committer) Date: 2004-10-19 02:53
Logged In: YES 
user_id=33168

That's odd.  Line 544 is using SIGRTMAX.  I presume SIGRTMAX
is defined as _NSIG on your system?  I don't know why it
would do that.  Can you try to produce a patch?  What type
of platform is this (beyond libc5 based)?
msg19783 - (view) Author: Neal Norwitz (nnorwitz) * (Python committer) Date: 2005-12-19 03:49
Logged In: YES 
user_id=33168

I don't think we will be able to support libc5.  If someone
can come up with a patch, we can add it.
History
Date User Action Args
2022-04-11 14:56:02adminsetgithub: 39850
2004-01-21 23:16:03dcdcreate